git

Форк
0
/t
/
fortran-module-procedure 
13 строк · 249.0 Байт
1
 module RIGHT
2

3
   implicit none
4
   private
5

6
   interface letters  ! generic interface
7
      module procedure aaaa, &
8
                       bbbb, &
9
                       ChangeMe, &
10
                       dddd
11
   end interface
12
   
13
end module RIGHT
14

Использование cookies

Мы используем файлы cookie в соответствии с Политикой конфиденциальности и Политикой использования cookies.

Нажимая кнопку «Принимаю», Вы даете АО «СберТех» согласие на обработку Ваших персональных данных в целях совершенствования нашего веб-сайта и Сервиса GitVerse, а также повышения удобства их использования.

Запретить использование cookies Вы можете самостоятельно в настройках Вашего браузера.