5
if (typeof exports == "object" && typeof module == "object")
6
mod(require("../../lib/codemirror"));
7
else if (typeof define == "function" && define.amd)
8
define(["../../lib/codemirror"], mod);
11
})(function(CodeMirror) {
14
CodeMirror.defineMode("verilog", function(config, parserConfig) {
16
var indentUnit = config.indentUnit,
17
statementIndentUnit = parserConfig.statementIndentUnit || indentUnit,
18
dontAlignCalls = parserConfig.dontAlignCalls,
24
compilerDirectivesUseRegularIndentation = parserConfig.compilerDirectivesUseRegularIndentation,
25
noIndentKeywords = parserConfig.noIndentKeywords || [],
26
multiLineStrings = parserConfig.multiLineStrings,
27
hooks = parserConfig.hooks || {};
30
var obj = {}, words = str.split(" ");
31
for (var i = 0; i < words.length; ++i) obj[words[i]] = true;
39
"accept_on alias always always_comb always_ff always_latch and assert assign assume automatic before begin bind " +
40
"bins binsof bit break buf bufif0 bufif1 byte case casex casez cell chandle checker class clocking cmos config " +
41
"const constraint context continue cover covergroup coverpoint cross deassign default defparam design disable " +
42
"dist do edge else end endcase endchecker endclass endclocking endconfig endfunction endgenerate endgroup " +
43
"endinterface endmodule endpackage endprimitive endprogram endproperty endspecify endsequence endtable endtask " +
44
"enum event eventually expect export extends extern final first_match for force foreach forever fork forkjoin " +
45
"function generate genvar global highz0 highz1 if iff ifnone ignore_bins illegal_bins implements implies import " +
46
"incdir include initial inout input inside instance int integer interconnect interface intersect join join_any " +
47
"join_none large let liblist library local localparam logic longint macromodule matches medium modport module " +
48
"nand negedge nettype new nexttime nmos nor noshowcancelled not notif0 notif1 null or output package packed " +
49
"parameter pmos posedge primitive priority program property protected pull0 pull1 pulldown pullup " +
50
"pulsestyle_ondetect pulsestyle_onevent pure rand randc randcase randsequence rcmos real realtime ref reg " +
51
"reject_on release repeat restrict return rnmos rpmos rtran rtranif0 rtranif1 s_always s_eventually s_nexttime " +
52
"s_until s_until_with scalared sequence shortint shortreal showcancelled signed small soft solve specify " +
53
"specparam static string strong strong0 strong1 struct super supply0 supply1 sync_accept_on sync_reject_on " +
54
"table tagged task this throughout time timeprecision timeunit tran tranif0 tranif1 tri tri0 tri1 triand trior " +
55
"trireg type typedef union unique unique0 unsigned until until_with untyped use uwire var vectored virtual void " +
56
"wait wait_order wand weak weak0 weak1 while wildcard wire with within wor xnor xor");
71
var isOperatorChar = /[\+\-\*\/!~&|^%=?:<>]/;
72
var isBracketChar = /[\[\]{}()]/;
74
var unsignedNumber = /\d[0-9_]*/;
75
var decimalLiteral = /\d*\s*'s?d\s*\d[0-9_]*/i;
76
var binaryLiteral = /\d*\s*'s?b\s*[xz01][xz01_]*/i;
77
var octLiteral = /\d*\s*'s?o\s*[xz0-7][xz0-7_]*/i;
78
var hexLiteral = /\d*\s*'s?h\s*[0-9a-fxz?][0-9a-fxz?_]*/i;
79
var realLiteral = /(\d[\d_]*(\.\d[\d_]*)?E-?[\d_]+)|(\d[\d_]*\.\d[\d_]*)/i;
81
var closingBracketOrWord = /^((`?\w+)|[)}\]])/;
82
var closingBracket = /[)}\]]/;
83
var compilerDirectiveRegex = new RegExp(
84
"^(`(?:ifdef|ifndef|elsif|else|endif|undef|undefineall|define|include|begin_keywords|celldefine|default|" +
85
"nettype|end_keywords|endcelldefine|line|nounconnected_drive|pragma|resetall|timescale|unconnected_drive))\\b");
86
var compilerDirectiveBeginRegex = /^(`(?:ifdef|ifndef|elsif|else))\b/;
87
var compilerDirectiveEndRegex = /^(`(?:elsif|else|endif))\b/;
94
var blockKeywords = words(
95
"case checker class clocking config function generate interface module package " +
96
"primitive program property specify sequence table task"
101
for (var keyword in blockKeywords) {
102
openClose[keyword] = "end" + keyword;
104
openClose["begin"] = "end";
105
openClose["casex"] = "endcase";
106
openClose["casez"] = "endcase";
107
openClose["do" ] = "while";
108
openClose["fork" ] = "join;join_any;join_none";
109
openClose["covergroup"] = "endgroup";
110
openClose["macro_begin"] = "macro_end";
112
for (var i in noIndentKeywords) {
113
var keyword = noIndentKeywords[i];
114
if (openClose[keyword]) {
115
openClose[keyword] = undefined;
120
var statementKeywords = words("always always_comb always_ff always_latch assert assign assume else export for foreach forever if import initial repeat while extern typedef");
122
function tokenBase(stream, state) {
123
var ch = stream.peek(), style;
124
if (hooks[ch] && (style = hooks[ch](stream, state)) != false) return style;
125
if (hooks.tokenBase && (style = hooks.tokenBase(stream, state)) != false)
128
if (/[,;:\.]/.test(ch)) {
129
curPunc = stream.next();
132
if (isBracketChar.test(ch)) {
133
curPunc = stream.next();
139
if (stream.eatWhile(/[\w\$_]/)) {
140
var cur = stream.current();
143
if (cur.startsWith("`uvm_") && cur.endsWith("_begin")) {
144
var keywordClose = curKeyword.substr(0,curKeyword.length - 5) + "end";
145
openClose[cur] = keywordClose;
146
curPunc = "newblock";
149
if (stream.peek() == '(') {
151
curPunc = "newmacro";
153
var withSpace = stream.current();
155
stream.backUp(withSpace.length - cur.length);
165
if (stream.eatWhile(/[\w\$_]/)) {
174
stream.eatWhile(/[\d_.]/);
180
stream.eatWhile(/[@]/);
186
state.tokenize = tokenString(ch);
187
return state.tokenize(stream, state);
192
if (stream.eat("*")) {
193
state.tokenize = tokenComment;
194
return tokenComment(stream, state);
196
if (stream.eat("/")) {
204
if (stream.match(realLiteral) ||
205
stream.match(decimalLiteral) ||
206
stream.match(binaryLiteral) ||
207
stream.match(octLiteral) ||
208
stream.match(hexLiteral) ||
209
stream.match(unsignedNumber) ||
210
stream.match(realLiteral)) {
215
if (stream.eatWhile(isOperatorChar)) {
216
curPunc = stream.current();
221
if (stream.eatWhile(/[\w\$_]/)) {
222
var cur = stream.current();
224
if (openClose[cur]) {
225
curPunc = "newblock";
226
if (cur === "fork") {
230
if (stream.peek() == ';') {
231
curPunc = "newstatement";
233
stream.backUp(stream.current().length - cur.length);
236
if (statementKeywords[cur]) {
237
curPunc = "newstatement";
249
function tokenString(quote) {
250
return function(stream, state) {
251
var escaped = false, next, end = false;
252
while ((next = stream.next()) != null) {
253
if (next == quote && !escaped) {end = true; break;}
254
escaped = !escaped && next == "\\";
256
if (end || !(escaped || multiLineStrings))
257
state.tokenize = tokenBase;
262
function tokenComment(stream, state) {
263
var maybeEnd = false, ch;
264
while (ch = stream.next()) {
265
if (ch == "/" && maybeEnd) {
266
state.tokenize = tokenBase;
269
maybeEnd = (ch == "*");
274
function Context(indented, column, type, scopekind, align, prev) {
275
this.indented = indented;
276
this.column = column;
278
this.scopekind = scopekind;
282
function pushContext(state, col, type, scopekind) {
283
var indent = state.indented;
284
var c = new Context(indent, col, type, scopekind ? scopekind : "", null, state.context);
285
return state.context = c;
287
function popContext(state) {
288
var t = state.context.type;
289
if (t == ")" || t == "]" || t == "}") {
290
state.indented = state.context.indented;
292
return state.context = state.context.prev;
295
function isClosing(text, contextClosing) {
296
if (text == contextClosing) {
300
var closingKeywords = contextClosing.split(";");
301
for (var i in closingKeywords) {
302
if (text == closingKeywords[i]) {
310
function isInsideScopeKind(ctx, scopekind) {
314
if (ctx.scopekind === scopekind) {
317
return isInsideScopeKind(ctx.prev, scopekind);
320
function buildElectricInputRegEx() {
324
var allClosings = [];
325
for (var i in openClose) {
327
var closings = openClose[i].split(";");
328
for (var j in closings) {
329
allClosings.push(closings[j]);
333
var re = new RegExp("[{}()\\[\\]]|(" + allClosings.join("|") + ")$");
341
electricInput: buildElectricInputRegEx(),
343
startState: function(basecolumn) {
346
context: new Context((basecolumn || 0) - indentUnit, 0, "top", "top", false),
348
compilerDirectiveIndented: 0,
351
if (hooks.startState) hooks.startState(state);
355
token: function(stream, state) {
356
var ctx = state.context;
358
if (ctx.align == null) ctx.align = false;
359
state.indented = stream.indentation();
360
state.startOfLine = true;
364
var style = hooks.token(stream, state);
365
if (style !== undefined) {
369
if (stream.eatSpace()) return null;
372
var style = (state.tokenize || tokenBase)(stream, state);
373
if (style == "comment" || style == "meta" || style == "variable") {
374
if (((curPunc === "=") || (curPunc === "<=")) && !isInsideScopeKind(ctx, "assignment")) {
378
pushContext(state, stream.column() + curPunc.length, "assignment", "assignment");
379
if (ctx.align == null) ctx.align = true;
383
if (ctx.align == null) ctx.align = true;
385
var isClosingAssignment = ctx.type == "assignment" &&
386
closingBracket.test(curPunc) && ctx.prev && ctx.prev.type === curPunc;
387
if (curPunc == ctx.type || isClosingAssignment) {
388
if (isClosingAssignment) {
389
ctx = popContext(state);
391
ctx = popContext(state);
392
if (curPunc == ")") {
394
if (ctx && (ctx.type === "macro")) {
395
ctx = popContext(state);
396
while (ctx && (ctx.type == "statement" || ctx.type == "assignment")) ctx = popContext(state);
398
} else if (curPunc == "}") {
401
if (ctx && (ctx.type === "statement")) {
402
while (ctx && (ctx.type == "statement")) ctx = popContext(state);
405
} else if (((curPunc == ";" || curPunc == ",") && (ctx.type == "statement" || ctx.type == "assignment")) ||
406
(ctx.type && isClosing(curKeyword, ctx.type))) {
407
ctx = popContext(state);
408
while (ctx && (ctx.type == "statement" || ctx.type == "assignment")) ctx = popContext(state);
409
} else if (curPunc == "{") {
410
pushContext(state, stream.column(), "}");
411
} else if (curPunc == "[") {
412
pushContext(state, stream.column(), "]");
413
} else if (curPunc == "(") {
414
pushContext(state, stream.column(), ")");
415
} else if (ctx && ctx.type == "endcase" && curPunc == ":") {
416
pushContext(state, stream.column(), "statement", "case");
417
} else if (curPunc == "newstatement") {
418
pushContext(state, stream.column(), "statement", curKeyword);
419
} else if (curPunc == "newblock") {
420
if (curKeyword == "function" && ctx && (ctx.type == "statement" || ctx.type == "endgroup")) {
424
} else if (curKeyword == "task" && ctx && ctx.type == "statement") {
426
} else if (curKeyword == "class" && ctx && ctx.type == "statement") {
429
var close = openClose[curKeyword];
430
pushContext(state, stream.column(), close, curKeyword);
432
} else if (curPunc == "newmacro" || (curKeyword && curKeyword.match(compilerDirectiveRegex))) {
433
if (curPunc == "newmacro") {
436
pushContext(state, stream.column(), "macro", "macro");
438
if (curKeyword.match(compilerDirectiveEndRegex)) {
439
state.compilerDirectiveIndented -= statementIndentUnit;
441
if (curKeyword.match(compilerDirectiveBeginRegex)) {
442
state.compilerDirectiveIndented += statementIndentUnit;
446
state.startOfLine = false;
450
indent: function(state, textAfter) {
451
if (state.tokenize != tokenBase && state.tokenize != null) return CodeMirror.Pass;
453
var fromHook = hooks.indent(state);
454
if (fromHook >= 0) return fromHook;
456
var ctx = state.context, firstChar = textAfter && textAfter.charAt(0);
457
if (ctx.type == "statement" && firstChar == "}") ctx = ctx.prev;
459
var possibleClosing = textAfter.match(closingBracketOrWord);
461
closing = isClosing(possibleClosing[0], ctx.type);
462
if (!compilerDirectivesUseRegularIndentation && textAfter.match(compilerDirectiveRegex)) {
463
if (textAfter.match(compilerDirectiveEndRegex)) {
464
return state.compilerDirectiveIndented - statementIndentUnit;
466
return state.compilerDirectiveIndented;
468
if (ctx.type == "statement") return ctx.indented + (firstChar == "{" ? 0 : statementIndentUnit);
469
else if ((closingBracket.test(ctx.type) || ctx.type == "assignment")
470
&& ctx.align && !dontAlignCalls) return ctx.column + (closing ? 0 : 1);
471
else if (ctx.type == ")" && !closing) return ctx.indented + statementIndentUnit;
472
else return ctx.indented + (closing ? 0 : indentUnit);
475
blockCommentStart: "/*",
476
blockCommentEnd: "*/",
482
CodeMirror.defineMIME("text/x-verilog", {
486
CodeMirror.defineMIME("text/x-systemverilog", {
500
var tlvIdentifierStyle = {
514
"@+=-": "variable-3",
534
var tlvScopePrefixChars = {
543
var tlvIndentUnit = 3;
544
var tlvTrackStatements = false;
545
var tlvIdentMatch = /^([~!@#\$%\^&\*-\+=\?\/\\\|'"<>]+)([\d\w_]*)/;
547
var tlvFirstLevelIndentMatch = /^[! ] /;
548
var tlvLineIndentationMatch = /^[! ] */;
549
var tlvCommentMatch = /^\/[\/\*]/;
554
function tlvScopeStyle(state, indentation, type) {
556
var depth = indentation / tlvIndentUnit;
557
return "tlv-" + state.tlvIndentationStyle[depth] + "-" + type;
561
function tlvIdentNext(stream) {
563
return (match = stream.match(tlvIdentMatch, false)) && match[2].length > 0;
566
CodeMirror.defineMIME("text/x-tlv", {
571
electricInput: false,
580
token: function(stream, state) {
581
var style = undefined;
585
if (stream.sol() && ! state.tlvInBlockComment) {
587
if (stream.peek() == '\\') {
590
if (stream.string.match(/\\SV/)) {
591
state.tlvCodeActive = false;
592
} else if (stream.string.match(/\\TLV/)){
593
state.tlvCodeActive = true;
597
if (state.tlvCodeActive && stream.pos == 0 &&
598
(state.indented == 0) && (match = stream.match(tlvLineIndentationMatch, false))) {
599
state.indented = match[0].length;
605
var indented = state.indented;
606
var depth = indented / tlvIndentUnit;
607
if (depth <= state.tlvIndentationStyle.length) {
610
var blankline = stream.string.length == indented;
611
var chPos = depth * tlvIndentUnit;
612
if (chPos < stream.string.length) {
613
var bodyString = stream.string.slice(chPos);
614
var ch = bodyString[0];
615
if (tlvScopePrefixChars[ch] && ((match = bodyString.match(tlvIdentMatch)) &&
616
tlvIdentifierStyle[match[1]])) {
619
indented += tlvIndentUnit;
622
if (!(ch == "\\" && chPos > 0)) {
623
state.tlvIndentationStyle[depth] = tlvScopePrefixChars[ch];
624
if (tlvTrackStatements) {state.statementComment = false;}
631
while (state.tlvIndentationStyle.length > depth) {
632
state.tlvIndentationStyle.pop();
637
state.tlvNextIndent = indented;
640
if (state.tlvCodeActive) {
643
var beginStatement = false;
644
if (tlvTrackStatements) {
648
(stream.peek() != " ") &&
649
(style === undefined) &&
650
!state.tlvInBlockComment &&
652
(stream.column() == state.tlvIndentationStyle.length * tlvIndentUnit);
653
if (beginStatement) {
654
if (state.statementComment) {
656
beginStatement = false;
658
state.statementComment =
659
stream.match(tlvCommentMatch, false);
664
if (style !== undefined) {
666
style += " " + tlvScopeStyle(state, 0, "scope-ident")
667
} else if (((stream.pos / tlvIndentUnit) < state.tlvIndentationStyle.length) &&
668
(match = stream.match(stream.sol() ? tlvFirstLevelIndentMatch : /^ /))) {
672
"tlv-indent-" + (((stream.pos % 2) == 0) ? "even" : "odd") +
674
" " + tlvScopeStyle(state, stream.pos - tlvIndentUnit, "indent");
676
if (match[0].charAt(0) == "!") {
677
style += " tlv-alert-line-prefix";
680
if (tlvIdentNext(stream)) {
681
style += " " + tlvScopeStyle(state, stream.pos, "before-scope-ident");
683
} else if (state.tlvInBlockComment) {
685
if (stream.match(/^.*?\*\//)) {
687
state.tlvInBlockComment = false;
688
if (tlvTrackStatements && !stream.eol()) {
690
state.statementComment = false;
696
} else if ((match = stream.match(tlvCommentMatch)) && !state.tlvInBlockComment) {
698
if (match[0] == "//") {
703
state.tlvInBlockComment = true;
706
} else if (match = stream.match(tlvIdentMatch)) {
708
var prefix = match[1];
709
var mnemonic = match[2];
711
tlvIdentifierStyle.hasOwnProperty(prefix) &&
713
(mnemonic.length > 0 || stream.eol())) {
714
style = tlvIdentifierStyle[prefix];
715
if (stream.column() == state.indented) {
717
style += " " + tlvScopeStyle(state, stream.column(), "scope-ident")
724
stream.backUp(stream.current().length - 1);
725
style = "tlv-default";
727
} else if (stream.match(/^\t+/)) {
730
} else if (stream.match(/^[\[\]{}\(\);\:]+/)) {
733
} else if (match = stream.match(/^[mM]4([\+_])?[\w\d_]*/)) {
735
style = (match[1] == "+") ? "tlv-m4-plus" : "tlv-m4";
736
} else if (stream.match(/^ +/)){
743
style = "tlv-default";
745
} else if (stream.match(/^[\w\d_]+/)) {
751
style = "tlv-default";
753
if (beginStatement) {
754
style += " tlv-statement";
757
if (stream.match(/^[mM]4([\w\d_]*)/)) {
765
indent: function(state) {
766
return (state.tlvCodeActive == true) ? state.tlvNextIndent : -1;
769
startState: function(state) {
770
state.tlvIndentationStyle = [];
771
state.tlvCodeActive = true;
772
state.tlvNextIndent = -1;
773
state.tlvInBlockComment = false;
774
if (tlvTrackStatements) {
775
state.statementComment = false;