Digital_Design_Lab_Manual
15 строк · 222.0 Байт
1module register #(
2parameter WIDTH = 32
3) (
4input clk,
5input en,
6input [WIDTH-1:0] d,
7output reg [WIDTH-1:0] q
8);
9
10always @(posedge clk) begin
11if (en)
12q <= d;
13end
14
15endmodule
16