Digital_Design_Lab_Manual

Форк
0
15 строк · 222.0 Байт
1
module register #(
2
	parameter WIDTH = 32
3
) (
4
	input                  clk, 
5
	input                  en,
6
	input [WIDTH-1:0]      d,
7
	output reg [WIDTH-1:0] q
8
);
9

10
always @(posedge clk) begin
11
	if (en)
12
		q <= d;
13
end
14

15
endmodule
16

Использование cookies

Мы используем файлы cookie в соответствии с Политикой конфиденциальности и Политикой использования cookies.

Нажимая кнопку «Принимаю», Вы даете АО «СберТех» согласие на обработку Ваших персональных данных в целях совершенствования нашего веб-сайта и Сервиса GitVerse, а также повышения удобства их использования.

Запретить использование cookies Вы можете самостоятельно в настройках Вашего браузера.