Digital_Design_Lab_Manual

Форк
0
50 строк · 1.2 Кб
1
module sevenseg_2 (
2
	input  [3:0] in1, 
3
	input  [3:0] in2,
4
	output [6:0] out1, 
5
	output [6:0] out2
6
);
7

8
always @(*) begin
9
	case (in1)
10
		4'b0000: out1 = 7'b0111111;
11
		4'b0001: out1 = 7'b0000110;
12
		4'b0010: out1 = 7'b1011011;
13
		4'b0011: out1 = 7'b1001111;
14
		4'b0100: out1 = 7'b1100110;
15
		4'b0101: out1 = 7'b1101101;
16
		4'b0110: out1 = 7'b1111101;
17
		4'b0111: out1 = 7'b0000111;
18
		4'b1000: out1 = 7'b1111111;
19
		4'b1001: out1 = 7'b1101111;
20
		4'b1010: out1 = 7'b1110111;
21
		4'b1011: out1 = 7'b1111100;
22
		4'b1100: out1 = 7'b0111001;
23
		4'b1101: out1 = 7'b1011110;
24
		4'b1110: out1 = 7'b1111011;
25
		4'b1111: out1 = 7'b1110001;
26
		default: out1 = 7'b0000000;	
27
	endcase
28

29
	case(in2)
30
		4'b0000: out2 = 7'b0111111;
31
		4'b0001: out2 = 7'b0000110;
32
		4'b0010: out2 = 7'b1011011;
33
		4'b0011: out2 = 7'b1001111;
34
		4'b0100: out2 = 7'b1100110;
35
		4'b0101: out2 = 7'b1101101;
36
		4'b0110: out2 = 7'b1111101;
37
		4'b0111: out2 = 7'b0000111;
38
		4'b1000: out2 = 7'b1111111;
39
		4'b1001: out2 = 7'b1101111;
40
		4'b1010: out2 = 7'b1110111;
41
		4'b1011: out2 = 7'b1111100;
42
		4'b1100: out2 = 7'b0111001;
43
		4'b1101: out2 = 7'b1011110;
44
		4'b1110: out2 = 7'b1111011;
45
		4'b1111: out2 = 7'b1110001;
46
		default: out2 = 7'b0000000;
47
	endcase
48
end
49

50
endmodule
51

Использование cookies

Мы используем файлы cookie в соответствии с Политикой конфиденциальности и Политикой использования cookies.

Нажимая кнопку «Принимаю», Вы даете АО «СберТех» согласие на обработку Ваших персональных данных в целях совершенствования нашего веб-сайта и Сервиса GitVerse, а также повышения удобства их использования.

Запретить использование cookies Вы можете самостоятельно в настройках Вашего браузера.